(no subject)


Subject: (no subject)
anders#cinderella.dk
Date: Fri Jul 31 1998 - 16:14:28 GMT


The originator of this message is responsible for its content.
-----From anders#cinderella.dk to sdlnews -----

Dear Tariq
I would like to elaborate a bit on Oysteins answer:

Yes, it is true that SDL has no mechanism for broadcast.
The reason is that SDL is intended for describing open systems where
you dont have full knowledge of what is going on/who are existing in
the environment. This is very much like with sending e-mails where you need
the address of
each of the receivers or need some kind of 'propagation processes' to explode
and route
messages further.

This limitation is not only SDL phylosophy. In ODP and CORBA, this is very closely
related to
the need for a 'trader', which is a kind of object (a 'phone book') you can
access to get references to
objects. Whenever a new process is created or stopped, it is registered in the
trader my means
of some signal exchange to keep the trader up-do-date.

So what you need is a trader. To my knowledge, the OPD group already has standardized
a
trader written in SDL, but I don't know whether it is feasible to use it.

If you only want to broadcast within the system boundaries, its more feasible
to define your own
simple trader process.

The multi-cast mechanism ('VIA ALL') in SDL is a little bit strange and its
only in special cases it
is useful. Its a short-hand notation for sending a signal to each of the blocks
in a block set. This
means that you wont hit all the processes in each block - only one process.

I hope that this info can be of more help

Regards Anders
Cinderella I/S

-----Oprindelig meddelelse-----
Fra: tariq#erg.abdn.ac.uk [SMTP:tariq#erg.abdn.ac.uk]
Sendt: 29. juli 1998 15:47
Til: sdlnews#sdl-forum.org; mscnews#sdl-forum.org; oystein.haugen#ericsson.no

Emne: SDL-news: Broadcast

The originator of this message is responsible for its content.
-----From tariq#erg.abdn.ac.uk to sdlnews -----

Can Anybody tell me how to broadcast a signal in SDL. i.e if a system is comprised
of more
than one process how can a signal be received by all the processes or in other
words
how can a signal be transmitted on more than one channel simultaneously.

YOur answer would be highly appreciated.

TARIQ

-----End text from tariq#erg.abdn.ac.uk to sdlnews -----
For help, email "majordomo#sdl-forum.org" with the body of your email as:
    help
or (iff this does not answer your question) email: owner-sdlnews#sdl-forum.org

-----End text from anders#cinderella.dk to sdlnews -----
For help, email "majordomo#sdl-forum.org" with the body of your email as:
    help
or (iff this does not answer your question) email: owner-sdlnews#sdl-forum.org



This archive was generated by hypermail 2a23 : Sun Jun 16 2013 - 10:41:40 GMT